アウトプットブログ

勉強したことをまとめていきます。

2015-11-24から1日間の記事一覧

C関数で確保したメモリ領域にSystemVerilogからアクセス

DPI-Cを使用して、C言語のmalloc関数で確保したメモリ領域にSystemVerilogテストベンチからアクセスしてみる。最終的にはBMP等の画像ファイルをCで読み込んでテストデータとして使いたいと考えている。以下ソース。今回は以下URLを参考にさせて頂いた。 Shar…