アウトプットブログ

勉強したことをまとめていきます。

2015-12-01から1ヶ月間の記事一覧

SystemVerilogでファイル入出力

前回テストデータと比較データを自動生成しました。その比較結果は標準出力に表示していましたが、ログとしてファイル出力できると便利そう。比較はSystemVerilg側で実施しているので、そのままSystemVerilogで出来るならやってしまいたい。ということで試し…

DPI-CでVHDLモジュールの処理結果をコンペア(別の方法を考える)

前回の方法でもタイトルの内容は可能なのですが、もっと便利で確実に(と思う)方法でコンペアを実施する方法がありました。ざっくりまとめると、①テストデータ生成と比較値生成を同時に行い、SVモジュールに入力。その際、C関数からSystemVerilogのタスクを…

DPI-CでVHDLモジュールの処理結果をコンペア

前回BMPファイルをDPI-C経由でVHDLモジュールに入力できました。今回はVHDLモジュールの出力結果をC関数に入力してコンペアを取ってみました。以下テストベンチの流れです。 1.シミュレーション開始時にC関数によりBMPファイル読込と比較データ生成を実施。 …

DPI-CでBMPファイルリード

ひとまずの目標であるDPI-Cでの画像読み込みです。前回作成したC言語でのBMPファイル読込モジュールを使用して、画像データをVHDLモジュールへ入力することが出来ました。ヘッダファイルを自作したためコンパイル方法が変わるかも、と思いましたが、ModelSim…