アウトプットブログ

勉強したことをまとめていきます。

2015-12-15から1日間の記事一覧

SystemVerilogでファイル入出力

前回テストデータと比較データを自動生成しました。その比較結果は標準出力に表示していましたが、ログとしてファイル出力できると便利そう。比較はSystemVerilg側で実施しているので、そのままSystemVerilogで出来るならやってしまいたい。ということで試し…